• Ulx3s a Powerful, Open Hardware Ecp5 Fpga Dev Board
  • Ulx3s a Powerful, Open Hardware Ecp5 Fpga Dev Board
  • Ulx3s a Powerful, Open Hardware Ecp5 Fpga Dev Board

Ulx3s a Powerful, Open Hardware Ecp5 Fpga Dev Board

Type: Other
Origin: China
Customization:
Gold Member Since 2024

Suppliers with verified business licenses

Shanghai, China
to see all verified strength labels (15)

Basic Info.

Model NO.
ULX3S

Product Description

 

ULX3S A powerful, open hardware ECP5 FPGA dev board

ULX3S is a fully open source, compact, robust, and affordable FPGA dev board equipped with a balanced selection of additional components and expansions. Although it was designed primarily as a teaching tool for mastering the principles of digital circuit design, ULX3S incorporates a wide array of features and interfaces that allow it to serve as a broadly useful module for installation in complex devices. Built around a powerful Lattice Semiconductor ECP5-series FPGA chip and supported by the latest open-source toolchains, ULX3S is one of the most powerful and desirable platforms available for FPGA enthusiasts. It comes equipped with onboard Wi-Fi, display, buttons, LEDs, and storage. Flash it with an over-the-air (OTA) update using the Wi-Fi connection or take advantage of the onboard OLED display and buttons to browse the contents of an SD card and select a bitstream

Energy Efficient, Versatile, and Easy to Program

Due to its battery-backed RTC, ULX3S can power down completely and wake up only in response to certain events. This feature makes it perfect for use in low-power applications such as battery-powered remote sensor nodes. And if you're not the low-power type, on-board peripherals like SDRAM, USB, digital video out, FM/ASK antenna, ADC, and DAC make it an audio/video powerhouse for signal processing and synthesis, motor control, and SDR use cases. It can emulate arcade machines and retro computers like the Minimig (Amiga) or modern systems like the F32C (MIPS/RISCV). It has 56 GPIO pins, all routed as differential pairs, and a PMOD compatible pinout, which opens it up to a wide range of expansion options. Finally, if you are just starting out with FPGAs, you can use the Arduino IDE to program ULX3S in seconds

On-Board ESP32

Software engineers, embedded developers, and programmers learning Verilog will enjoy having a built-in ESP32 that can work cooperatively with or independently of the FPGA. Program the ESP32 natively using C/C++ with the Arduino IDE, the Visual Micro extension for Visual Studio, or the Espressif IDF commandline toolchain. You can also configure the ESP32 for use with MicroPython, Espruino, the JavaScript SDK, NodeMCU, LUA, mruby, .Net C# nanoFramework, and more!

Stable Design

ULX3S has been actively developed since 2016 and is the result of a collaboration between / Zagreb Makerspace and FER - the Faculty of Electrical Engineering and Computing - at the University of Zagreb in Croatia. Our PCB design was authored by Electronic Mechanic Aerodynamic Research & Development (EMARD).

Features & Specifications

FPGA: Lattice ECP5 LFE5U-85F-6BG381C (84 K LUT) LFE5U-45F-6BG381C (44 K LUT) LFE5U-12F-6BG381C (12 K LUT) USB: FTDI FT231XS (500 kbit JTAG and 3 Mbit USB-serial) GPIO: 56 pins (28 differential pairs), PMOD-friendly with power out 3.3 V at 1 A or 2.5 V at 1.5 A RAM: 32 MB SDRAM 166 MHz Flash: 4-16 MB Quad-SPI Flash for FPGA config and user data storage Mass Storage: Micro-SD slot LEDs: 11 (8 user LEDs, 2 USB LEDs, 1 Wi-Fi LED) Buttons: 7 (4 direction, 2 fire, 1 power button) Audio: 3.5 mm jack with 4 contacts (analog stereo + digital audio or composite video) Video: Digital video (GPDI General-Purpose Differential Interface) with 3.3 V to 5 V I²C bidirectional level shifter Display: Placeholder for 0.96" SPI COLOR OLED SSD1331 Wi-Fi & Bluetooth: ESP32-WROOM-32 supports a standalone JTAG web interface over Wi-Fi Antenna: 27, 88-108, 144, 433 MHz FM/ASK onboard ADC: 8 channels, 12 bit, 1 MS a/s MAX11125 Power: 3 Switching voltage regulators: 1.1 V, 2.5 V, and 3.3 V Clock: 25 MHz onboard, external differential clock input Low-Power Sleep: 5 µA at 5 V standby, RTC MCP7940N clock wake-up, power button, 32768 Hz quartz with CR1225 battery backup Dimensions: 94 mm × 51 mm

Legend

Ulx3s a Powerful, Open Hardware Ecp5 Fpga Dev Board

Comparison Table

Ulx3s a Powerful, Open Hardware Ecp5 Fpga Dev Board

Support & Documentation

You will find setup and configuration guides, tutorials, and sample code in our FPGA Odysseus repository on GitHub. Additional resources are available in our Community Projects repository, or you can also reach out to other ULX3S developers through our Gitter community.

 

Send your message to this supplier

*From:
*To:
*Message:

Enter between 20 to 4,000 characters.

This is not what you are looking for? Post a Sourcing Request Now

You Might Also Like

Gold Member Since 2024

Suppliers with verified business licenses

Trading Company
Number of Employees
15
Year of Establishment
2020-05-12